xDX Designer

Xpedition xDX Designer是一个完整的设计创建、定义和重用解决方案 Xpedition xDX Designer(以前称为DxDesigner)为设计创建、定义和重用提供完整的原理图设计解决方案。它在基于团队的并行设计环境中提供电路设计和仿真、元件选择、库管理和信号完整性规划所需的一切

FPGA I/O Optimization

FPGA I/O优化可以消除FPGA和PCB设计组织之间的障碍,以更高的精度和速度实现并行设计过程,并提供正确的按结构FPGA I/O分配,允许在PCB过程中进行管脚交换和基于布局的I/O优化

HyperLynx Analog

HyperLynx Analog提供真正可扩展的模拟电路模拟,允许PCB设计师精确控制模拟参数和环境 它扩展了DxDesigner的功能,包括混合信号和模拟电路设计模拟,以显著减少周期时间并消除设计转录错误 通过在设计过程的早期利用功能模拟,设计团队可以探索替代电路技术,并以比基于普通原型的过程更高的效率控制元件成本和制造产量

Questa

软件说明 软件主要特点: – 内建单内核仿真器支持SystemVerilog、Verilog、VHDL、PSL以及SystemC。 – 内建约束解释器支持C...

HyperLynx PI

HyperLynx PI包括布局前后的电源完整性分析,如直流压降分析、交流解耦分析、平面噪声分析和模型提取

HyperLynx SI

HyperLynx Signal Integrity(SI)在PCB系统设计中生成快速、简单和准确的信号完整性分析 HyperLynx SI帮助工程师高效地管理规则探索、定义和验证,确保完全实现工程意图 该软件从原理图设计到最终布局验证紧密集成。它可以快速准确地解决典型的高速设计效果,包括过/欠调、振铃、串扰和计时

Valor

Valor 顺利地将复杂的现代化PCB带入量产并及时推向市场,是每一位PCB工程师、NPI工程师与PCB制造商共同的责任。NPI流程始于产品的设计优化,终于向制造商完整清晰地传递PCB产品模型,其中有多个步骤需要进行协调。 PCB设计阶段的决策会直接影响NPI的成败。PCB设计若存在任何DFM问题,轻则导致设计不能按时发布,重则导致设计报废,造成代价高昂的损失。每个团队创建和传达设计数据的方式都不尽相同。如果您收到的PCB设计来自不同团队,要找出这些设计存在的DFM问题也是一大难题。如果您是制造商,您需要对数据进行解读,以便您的团队能读懂数据,并找出需要解决的问题。 使用Valor DFM技术,您可在收到客户的数据时,就进行制造分析和组装分析,从而让您获得竞争优势。分析过程所使用的DFM规则都是根据您的制造商的制造能力量身制定的,您和您的团队可轻松、快捷地生成准确而全面的DFM报告,并和您的客户分享。

Visual Elite HDL

Visual Elite建立在强大的HDL实施基础设施之上,同时提供最先进的电子系统级(ESL)和事务级建模(TLM)概念和机制

xPCB Layout

Expedition xPCB Layout 是 Expedition PCB 的升级版本,在功能和设计界面上都做了重大创新,一改以往高端 PCB 工具使用复杂、上手难的问题,充分兼顾易用性和功能性,是一款专门针对有多个设计团队和复杂组织结构的大公司和科研院所的产品。

PadsPCB

面向独立工程师,以 PCB 为中心的业界领先的高生产率设计解决方案。可在直观且简单易用的环境中提供原理图设计和 Layout 功能,这对于寻求高价值并经过生产验证的工具的 PCB 设计人员和工程师而言,实可谓理想之选。PADS Standard 非常适用于复杂度较低但成本优先考虑的电路板设计。

Calibre nmOPC

Calibre nmOPC是第三代光学邻近校正(OPC)工具,它扩展了分辨率增强技术(RET)产品的口径库,用于亚65纳米(nm)工艺技术。Calibre nmOPC工具和配套的OPC验证工具Calibre OPCverify以业界最高的性能和最低的拥有成本提供了卓越的模拟精度,开创了计算光刻技术的新时代

Calibre OPCpro

Calibre OPC pro提供复杂IC布局的全芯片光学和工艺校正,以提高产量和工艺自由度。Calibre OPC pro使用面向批量的流程,对布局进行更改,以补偿深亚微米制造过程中固有的光刻变形

Calibre OPCverify

当前的低k1光刻工艺增加了纳米设计中分辨率增强技术(RET)应用的复杂性。由于掩模规则约束、碎片、建模和计量误差等原因,这导致了更高的硅故障率。为了减少错误,在将设计发送给掩模或晶圆制造商之前,需要一个OPC后验证步骤来检测故障

HyperLynx DRC

HyperLynx DRC对影响EMI/EMC、信号完整性和电源完整性的问题执行PCB设计规则检查。它是高度可定制的,允许用户为几乎任何东西创建DRC HyperLynx DRC包含一组核心规则检查,并提供广泛的可定制性。它通过自动化对象模型(AOM)访问数据库对象,并允许对这些对象进行高级几何操作。这提供了对设计数据库的独特访问,并允许开发高度可变的规则检查 有了对VBScript和JavaScript的支持,AOM和DRC编码标准的完整文档,以及内置的脚本调试环境,这个高度可定制的产品通过自动进行规则检查(否则将手动执行)来加快分析速度

Questa Formal基于断言的形式验证工具

利用Questa Formal验证引擎,可以深度穷举分析设计的行为,从而可以探测到响应检查器断言违反的复杂错误和触发条件,发现验证激励的漏洞,提高验证的完备性。 对于关键的控制模块,Questa Formal验证可以确保设计在任何情况下均能正常工作。 其Autocheck 特性无需手动编写任何Assertion,并可以在仿真验证平台准备好之前发现一些设计上的潜在问题,如下图的X态的传播问题。

Questa Covercheck代码覆盖率检查工具

Questa CoverCheck显著提升了实现代码覆盖率收敛的进程。即使在完成完备的仿真验证后,通常仍然会有一些没有被覆盖的代码。Questa CoverCheck可以定位这些问题,帮助使用者决定是否要忽略这段代码或者加强测试环境直至其被测到。

Hyperlynx

HyperLynx 将易用性与自动化工作流程相结合,使主流系统设计人员能够进行高速设计分析。这样可以在设计周期的早期识别和解决问题。HyperLynx可与多种PCB工具配合使用,是任何PCB设计流程的理想补充。

PADS Standard

■ 易学易用 ■ 经验证的原理图输入和 PCB 设计技术 ■ 准确地处理您所面临的严峻设计问题 ■ 缩短设计时间 ■ 可确保快速启动设计的验证库 ■ 完整的 3D 可视化显示,可避免装配错误和冲突

Tanner MEMS

在当前MEMS设计领域,工具集成度比以往增加了很多。为了应对市场竞争,用户需要一个已经成功证明能够加速商业项目设计周期的工具集。Tanner MEMS流程不只把MEMS器件与相应模拟/数模混合电路设计的集成变得简单,同时也能够帮助用户改善MEMS器件的设计。因此,它能够减少培训时间,缩短设计周期。

Xpedition Package Integrator

Xpedition Package Integrator帮助IC、封装和PCB联合设计团队可视化并优化集成硅板载平台的复杂单芯片或多芯片封装 它可以大大降低整个系统的成本,同时更好地控制设计过程。它的协同设计方法以许多PCB平台为目标,通过多个封装变量自动化芯片的规划、优化和连接

返回顶部